Intel Unveils Its Plans To Accelerate Moore’s Law at IEDM 2021: 10x Density Improvement, Up To 50% Logic Scaling & Post Silicon-Transistors Era

Why It Matters: Moore’s Law has been tracking innovations in computing that meet the demands of every technology generation from mainframes to mobile phones. This evolution is continuing today as we move into a new era of computing with unlimited data and artificial intelligence.

Intel Unveils Its Plans To Accelerate Moore’s Law at IEDM 2021: 10x Density Improvement, Up To 50% Logic Scaling & Post Silicon-Transistors Era

Continuous innovation is the cornerstone of Moore’s Law. Intel’s Components Research Group is committed to innovating across three key areas: essential scaling technologies for delivering more transistors; new silicon capabilities for power and memory gains; and exploration of new concepts in physics to revolutionize the way the world does computing. Many of the innovations that broke through previous barriers of Moore’s Law and are in today’s products started with the work of Component Research – including strained silicon, Hi-K metal gates, FinFET transistors, RibbonFET, and packaging innovations including EMIB and Foveros Direct.

How We Are Doing It: The breakthroughs revealed at IEDM 2021 demonstrate Intel is on track to continue the advancement and benefits of Moore’s Law well beyond 2025 through its three areas of pathfinding.

1. Intel is pursuing significant research in essential scaling technologies for delivering more transistors in future product offerings:

2. Intel is bringing new capabilities to silicon:

3. Intel is pursuing massive performance with silicon transistor-based quantum computing, as well as entirely new switches for massively energy-efficient computing with novel room-temperature devices. In the future, these revelations may replace classic MOSFET transistors by using entirely new concepts in physics:

Intel Foveros Direct Technology:

Popular Articles