Intel annonce une feuille de route des processus jusqu'en 2025 et au-delà : nouveau schéma de nommage, 10 nm ESF maintenant Intel 7, 7 nm maintenant Intel 4, Intel 3, Intel 20A et au-delà

Lors de son discours d'ouverture sur l'IDM 2.0, le PDG d'Intel, Pat Gelsinger, a dévoilé la toute nouvelle feuille de route des processus de son entreprise, ainsi qu'un nouveau schéma de nommage rafraîchissant pour les nœuds de nouvelle génération. La toute nouvelle feuille de route couvre tous les nœuds et les produits respectifs dont nous pouvons nous attendre à entrer dans la fabrication et la production jusqu'en 2025 et au-delà.

Feuille de route des processus Intel et amp ; La feuille de route de l'innovation met en évidence le tout nouveau schéma de nommage des nœuds, supprime '++' et amp ; Marques "SuperFin"

Intel se restructure dans son ensemble sous sa nouvelle direction et il semble que les nœuds de processus, qui ont prêté à confusion ces dernières années, seront enfin compréhensibles pour le grand public. Intel a récemment lancé son nœud de processus SuperFin 10 nm, qui est une variante améliorée du nœud Intel 10 nm (++) utilisé par les puces Ice Lake. Actuellement, Intel possède à la fois des puces 10 nm et 14 nm dans les plates-formes mobiles et de bureau, mais cela va changer plus tard cette année lorsqu'Intel présentera enfin sa gamme Alder Lake et Sapphire Rapids.

Les processeurs AMD Ryzen 7000 pourraient avoir un avantage sur les capacités de mémoire Raptor Lake DDR5 d'Intel, car les vitesses "natives" de 5 200 Mbps sont répertoriées pour la 13e génération

Sous IDM 2.0, notre réseau d'usines continue de fonctionner et nous fabriquons désormais plus de tranches de 10 nanomètres que de 14 nanomètres. Alors que les volumes de 10 nanomètres augmentent, l'économie s'améliore avec un coût de plaquette de 10 nanomètres inférieur de 45 % d'une année sur l'autre et d'autres à venir.

via Intel

Nœud de processus Intel 7 (précédemment 10 nm Enhanced SuperFin)

Donc, tout d'abord, nous avons Intel 7, un nouveau nom pour le nœud de processus Enhanced SuperFin 10 nm de la société. Ce nœud allait alimenter la gamme Alder Lake Client et Sapphire Rapids Server d'Intel. Sur la base de ce qu'Intel a déclaré, le nœud offrira un gain de performance par watt de 10 à 15 % sur 10 nm SuperFin et comportera des optimisations de transistor FinFET. Intel 7 est prêt pour la production en série et les premiers produits devraient arriver sur le marché d'ici le quatrième trimestre 2021

Intel 7 offre une augmentation des performances par watt d'environ 10 % à 15 % par rapport à Intel 10 nm SuperFin, sur la base des optimisations des transistors FinFET. Intel 7 sera présenté dans des produits tels que Alder Lake pour le client en 2021 et Sapphire Rapids pour le centre de données, qui devrait être en production au premier trimestre 2022.

Nœud de processus Intel 4 (auparavant 7 nm)

Intel annonce une feuille de route des processus jusqu'en 2025 et au-delà : Nouveau schéma de nommage, 10 nm ESF maintenant Intel 7, 7 nm maintenant Intel 4, Intel 3, Intel 20A et au-delà

Intel 4 est également quelque chose que la société a précédemment appelé son nœud de processus 7 nm. Il s'agit d'un nœud très médiatisé car il alimente plusieurs produits de nouvelle génération, notamment Ponte Vecchio & Parallèlement à cela, nous avons Meteor Lake pour le client et Granite Rapids pour les centres de données. Intel revendique un gain de performances par watt de 20 % pour Intel 4 par rapport à Intel 7. En plus de cela, Intel 4 fournira une bonne liste d'améliorations sur 10 nm qui comprendront :

Intel ajoute Arc GPU, Rocky Linux, & prise en charge de la fonctionnalité multi-GPU pour oneVPL 2022.1

Le nœud utilisera également pleinement la lithographie EUV et a déjà des produits enregistrés tels que le Meteor Lake Compute Tile qui a été enregistré au cours du trimestre précédent. Granite Rapids comportera également une conception de tuiles multi-calculs et son cœur principal Granite Rapids sera fabriqué sur le nœud Intel 4.

Intel 4 adopte pleinement la lithographie EUV pour imprimer des éléments incroyablement petits à l'aide d'une lumière à longueur d'onde ultra-courte. Avec une augmentation d'environ 20 % des performances par watt, ainsi que des améliorations de surface, Intel 4 sera prêt pour la production au cours du second semestre 2022 pour les produits expédiés en 2023, y compris Meteor Lake pour le client et Granite Rapids pour le centre de données.

Nœud de processus Intel 3 (une optimisation Intel 4 ?)

Allant au-delà d'Intel 4, la société prévoit de lancer son nœud de processus Intel 3 qui serait prêt pour la fabrication de produits d'ici le second semestre 2023. D'après tout ce qu'Intel a répertorié, il semble qu'Intel 3 soit une optimisation générationnelle de Intel 4 car il offre une performance de 18% par watt de gain, offre des bibliothèques HP plus denses, augmente le courant de pilote intrinsèque, augmente l'utilisation EUV & réduit par la résistance.

Il semble que tout ce qui se trouve au-delà de Meteor Lake (Lunar Lake) et Granite Ridge (Diamond Rapids) pourrait utiliser le nœud de processus Intel 3 bien que nous parlions de produits qui seraient lancés en 2024 ou même 2025 au plus tôt, donc il y a un long chemin aller.

Intel 3 exploite d'autres optimisations FinFET et une EUV accrue pour offrir une augmentation des performances par watt d'environ 18 % par rapport à Intel 4, ainsi que des améliorations supplémentaires. Intel 3 sera prêt à commencer à fabriquer des produits au second semestre 2023.

Nœud de processus Intel 20A et amp ; Au-delà (un véritable nœud de nouvelle génération)

Intel est allé de l'avant pour parler de son ère post-nanomètre avec un nouveau produit auquel il fait référence sous le nom d'Intel 20A. L'Intel 20A démarre l'ère Angstrom (A pour Angstrom) qui est égal à 10⁻¹⁰ m soit 1A = 0.1nm. C'est juste une façon sympa de dire 2 nm, mais compte tenu de la taille des nœuds et du fait que nous nous dirigeons vers des espaces décimaux au cours de cette décennie, Intel a décidé qu'une nouvelle unité de mesure était nécessaire.

Ainsi, Intel 20A (2nm) va offrir des innovations révolutionnaires lorsqu'il entrera dans la phase de production précoce d'ici 1H 2024. Le nœud 20A devrait comporter de tout nouveaux transistors RibbonFET qui remplaceront l'architecture FinFET existante et fourniront également de nouvelles innovations d'interconnexion , dont l'un est connu sous le nom de PowerVia. Intel développe également ses technologies Forveros avec Omni et Direct. Forveors Omni sera présenté dans des produits qui regroupent des tuiles de calcul hautes performances, tandis que Forveors Direct permettra une résistance d'interconnexion à plusieurs niveaux grâce à une liaison cuivre à cuivre. Forveros dans son ensemble sera mis à jour pour offrir une bande passante accrue grâce à des solutions d'interconnexion de nouvelle génération.

Intel 20A inaugure l'ère angström avec deux technologies révolutionnaires, RibbonFET et PowerVia. RibbonFET, la mise en œuvre par Intel d'un transistor gate-all-around, sera la première nouvelle architecture de transistor de la société depuis qu'elle a lancé le FinFET en 2011. La technologie offre des vitesses de commutation de transistor plus rapides tout en obtenant le même courant de commande que plusieurs ailettes dans un encombrement réduit. PowerVia est la première implémentation d'Intel de l'alimentation par l'arrière, optimisant la transmission du signal en éliminant le besoin de routage de l'alimentation sur la face avant de la plaquette. Intel 20A devrait monter en puissance en 2024.

Feuille de route du processus Intel

< td>2H 2024< td>10-15 %< td>Oui
Nom du processusIntel 10nm SuperFinIntel 7 Intel 4Intel 3Intel 20AIntel 18A
ProductionEn volume élevé (maintenant)En volume (maintenant)2H 20222H 20232H 2025
Perf/Watt (sur 10nm ESF)N/A20 %18 %> 20 % ?À déterminer
EUVN/AN/AOuiOuiEUV à haute NA
Architecture de transistorFinFETFinFET optimiséFinFET optimiséFinFET optimiséRibbonFETFinFET optimisé
ProduitsTiger LakeAlder Lake
Raptor Lake
Sapphire Rapids
Emerald Rapids
Xe-HPG ?
Meteor Lake
Xe -HPC / Xe-HP ?
Granite Rapids
Sierra Forest
À déterminer
Arrow Lake
Diamond Rapids ?
À déterminer
Lunar Lake
Nova Lake
TBA
TBA

En ce qui concerne les produits basés sur le nœud de processus Intel 20A, ne vous attendez pas qu'ils soient une réalité avant 2025. De plus, sur la base des anciennes feuilles de route et de la position de 20A, il semble qu'il s'agisse d'un changement de nom du nœud de processus 5 nm ou 3 nm d'Intel. mais plus mis à l'échelle pour ajouter les optimisations '+' qui ont été exclues à partir de maintenant.

Intel ne s'arrête cependant pas à 20A, ils discutent ensuite des nœuds de nouvelle génération jusqu'en 2025 et au-delà, ce qui inclurait 18A. Le nœud 18A est déjà en développement pour le début de 2025 et apportera des améliorations à l'architecture RibbonFET pour offrir une autre avancée majeure dans les performances des transistors et des puces.

La feuille de route des processus et de la fabrication d'Intel pour les 10 prochaines années indique 10 nm, 7 nm, 5 nm, 3 nm, 2 nm et 1,4 nm. (Crédits image : Anandtech)

Ces nouvelles innovations et ces nouveaux schémas de nommage sont parfaits pour éviter le désordre dans lequel Intel se dirigeait il y a quelques années à peine. La société avait une gamme de feuilles de route de nœuds de processus avec plusieurs nœuds & leurs backports + optimisations respectifs d'une manière vraiment déroutante. Désormais, Intel peut aller de l'avant sans se soucier des schémas de nommage et proposer une gamme de nœuds de processus unifiés selon ses nouveaux critères de nommage.

Articles populaires